summaryrefslogtreecommitdiffstats
path: root/reg/sim.h
diff options
context:
space:
mode:
Diffstat (limited to 'reg/sim.h')
-rw-r--r--reg/sim.h2
1 files changed, 1 insertions, 1 deletions
diff --git a/reg/sim.h b/reg/sim.h
index 9a10eaf..be9b585 100644
--- a/reg/sim.h
+++ b/reg/sim.h
@@ -87,7 +87,7 @@ enum {
#define SIM_CLKDIV1 REG_32(0x40048044)
enum {
CLKDIV1_OUTDIV1 = 28, // Clock 1 output divider value
- CLKDIV1_OUTDIV1_M = REG_32_M(CLKDIV1_OUTDIV1, 4),
+#define CLKDIV1_OUTDIV1_M REG_32_M(CLKDIV1_OUTDIV1, 4)
CLKDIV1_OUTDIV2 = 24, // Clock 2 output divider value
CLKDIV1_OUTDIV2_M = REG_32_M(CLKDIV1_OUTDIV2, 4),
CLKDIV1_OUTDIV4 = 16, // Clock 4 output divider value